當前位置:文思屋>學習教育>開題報告>

通訊工程本科畢業論文開題報告模板

文思屋 人氣:2.24W

開題報告是寫畢業論文的第一個任務,其作用是闡述論文選題依據以及講述初步構思的實驗思路,下面是小編蒐集整理的通訊工程本科畢業論文開題報告模板,供大家閱讀檢視。

通訊工程本科畢業論文開題報告模板

 課題名稱:基於FPGA的交通燈控制設計

1. 綜述本課題國內外研究動態,說明選題的依據和意義

交通燈是城市交通監管系統的重要組成部分,對於保證機動車輛的安全行駛,維持城市道路的順暢起到了重要作用。目前很多城市交叉路口的交通燈實行的是定時控制,燈亮的時間是預先設定好的,在時間和空間方面的應變效能較差,一定程度上造成了交通資源的浪費,加重了道路交通壓力。而在EDA技術的基礎上,利用FPGA的相關知識設計的交通燈控制系統,可以根據實際情況對燈亮時間進行自由調整,具有一定的實用性。

FPGA以其不可替代的地位及伴隨而來的極具知識經濟特徵的IP晶片產業的崛起,正越來越受到業內人士的密切關注。FPGA(Field-Programmable Gate Array),即現場可程式門陣列,它是在PAL、GAL、CPLD等可程式器件的基礎上進一步發展的產物。它是作為專用積體電路(ASIC)領域中的一種半定製電路而出現的,既解決了定製電路的不足,又克服了原有可程式器件閘電路數有限的缺點。目前以硬體描述語言(Verilog或VHDL)所完成的電路設計,可以經過簡單的綜合與佈局,快速的燒錄至FPGA上進行測試,是現代IC設計驗證的技術主流。這些可編輯元件可以被用來實現一些基本的邏輯閘電路(比如AND、OR、XOR、NOT)或者更復雜一些的組合功能比如解碼器或數學方程式。在大多數的FPGA裡面,這些可編輯的元件裡也包含記憶元件例如觸發器(Flip-flop)或者其他更加完整的記憶塊。系統設計師可以根據需要通過可編輯的連線把FPGA內部的邏輯塊連線起來,就好像一個電路試驗板被放在了一個晶片裡。一個出廠後的`成品FPGA的邏輯塊和連線可以按照設計者而改變,所以FPGA可以完成所需要的邏輯功能。FPGA包括了一些相對大數量的可以編輯邏輯單元,FPGA邏輯閘的密度在幾萬到幾百萬個邏輯單元之間。在系統結構上FPGA卻是有很多的連線單元,這樣雖然讓它可以更加靈活的編輯,但是結構卻複雜的多。

目前應用比較廣泛的硬體描述語言就是VHDL(Very HighSpeed Integrated Circuit Hardware Description Language),它最早是由美國國防部提出來的。VHDL是一種全方位的硬體描述語言,具有極強的描述能力,能支援系統行為級、暫存器傳輸級和邏輯閘級三個不同層次的設計,支援結構、資料流、強,因此在實際應用中越來越廣泛。VHDL的主要特點有:作為硬體描述語言的第一個國際標準,VHDL具有很強的可移植性;具有豐富的模擬模擬語句和庫函式,隨時可對設計進行模擬模擬,因而能將設計中邏輯上的錯誤消滅在組裝之前,在大系統的設計早期就能查驗設計系統功能的可行性;設計層次較高,用於較複雜的計算時能儘早發現存在的問題,從而縮短設計週期;VHDL的設計不依賴於特定的器件,方便了工藝的轉換;支援大規模設計的分解和已有設計的再利用;對於用VHDL完成的一個確定的設計,可以利用EDA工具進行邏輯綜合和優化,並自動地把VHDL描述設計轉變成門級網表;VHDL用原始碼描述來進行復雜控制邏輯的設計,靈活又方便,同時也便於設計結果的交流、儲存和重用。

隨著硬體描述語言VHDL的普及以及FPGA器件的廣泛應用,它必將在硬體設計領域發揮更為重要的作用。

2. 研究的基本內容,擬解決的主要問題

本設計課題用FPGA來實現交通燈的設計,本設計現要研究的相關內容主要有:

(1) 交通燈的設計方案;

(2) 該系統具有顯紅、黃、綠三種指示燈,依次迴圈交通燈等功能;

(3) 各功能模組的設計與實現;

(4) 如何用VHDL編寫源程式;

(5) 根據要求實現的功能完成相關各模組源程式的設計;

(6) 對所構造的電路進行模擬,除錯。

本課題為交通燈的設計及其FPGA實現,關於研究途徑考慮在EDA技術的基礎上,利用FPGA的相關知識設計交通燈控制系統,可以根據實際情況對燈亮時間進行自由調整,整個設計系統通過QuartusⅡ軟體進行了模擬模擬,並下載到FPGA器件中進行硬體的除錯,驗證設計的交通訊號燈控制電路完全可以實現預定的功能,具有一定的實用性。所設計的交通訊號燈控制電路,主要適用於在兩條幹道匯合點形成的十字交叉路口,路口設計兩組紅綠燈分別對兩個方向上的交通執行狀態進行管理。交通燈的持續閃亮時間由鍵盤輸入控制。

(1) 設兩組紅綠燈方向分別為A、B,當B方向的紅燈亮時,A方向對應綠燈亮,由綠燈轉換成紅燈的過渡階段黃燈亮,即B方向紅燈亮的時間等於A方向綠燈和黃燈亮的時間之和。同理,當A方向的紅燈變亮時,B方向的交通燈也遵循此規則。各幹道上安裝有數碼管,以倒計時的形式顯示本道各訊號燈閃亮的時間。

(2) 整個系統主要由分頻模組、控制模組、計數模組、分位模組以及顯示電路構成。分頻模組主要將系統輸入的基準時鐘訊號轉換為1 Hz的激勵訊號,驅動計數模組和控制模組工作。

控制模組根據計數器的計數情況對交通燈的亮滅及持續時間進行控制,並通過分位電路將燈亮時間以倒計時的形式通過數碼管顯示出來。

計數模組主要實現累加迴圈計數,計數的最大值由鍵盤輸入控制,輸出的計數值為控制模組的燈控提供參考。

分位模組的設計主要是將燈亮時間分為十位和個位,通過兩個相應的數碼管分別顯示出來。

(3) 利用硬體描述語言VHDL程式設計,藉助Altera公司的QuartusⅡ軟體環境下進

行編譯及模擬測試,通過FPGA晶片實現一個實用的交通訊號燈控制系統,設計採用EDA技術,不但大大縮短了開發研製週期,提高了設計效率,而且使系統具有設計靈活,實現簡單,效能穩定的特點。

3. 研究步驟、方法及措施

(1)硬體設計

硬體設計運用EDA技術,採用並行技術和自上而下的設計方法,在頂層進行層次劃分和結構設計。 為實現交通燈控制的功能,完成設計要求,採用模組化設計。 本設計預計分為四個模組:時鐘分頻模組;控制模組;計數模組;分位模組。 交通燈各模組模組劃分如下圖1所示。

①分頻模組主要將系統輸入的基準時鐘訊號轉換為1 Hz的激勵訊號,驅動計數模組和控制模組工作。

②控制模組根據計數器的計數情況對交通燈的亮滅及持續時間進行控制,並通過分位電路將燈亮時間以倒計時的形式通過數碼管顯示出來。

③計數模組主要實現累加迴圈計數,計數的最大值由鍵盤輸入控制,輸出的計數值為控制模組的燈控提供參考。

④分位模組的設計主要是將燈亮時間分為十位和個位,通過兩個相應的數碼管分別顯示出來。

(2)軟體設計

將系統分成的四部分利用VHDL的程式語言在QuartusII的模擬環境進行來實現時鐘分頻、交通燈控制,數碼管計數和交通燈燈亮時間分位等模組功能。並進一步進行模擬模擬進行驗證結果是否滿足課題要求。

(3)下載模擬 將利用VHDL語言在QuartusII的環境下整體設計的交通燈執行和控制的程式燒製一下,再利用EDA實驗箱,將其下載到EDA的實驗箱並設定相關按鈕進行模擬測試來驗證所做交通燈控制器的效果。

4. 研究工作進度

第5周 收集相關資料。

第6周 設計方案,包括系統設計、原理圖設計。

第7周~第13周 採用QUARTUS II實現系統設計、除錯。

第14周~第15周 下載、系統改進,並進行畢業論文的撰寫。

第16周 修改並提交最終版畢業論文。

第17周 畢業答辯。

5. 主要參考文獻

[1] 曾繁泰,侯亞寧.可程式器件應用導論[M].北京:清華大學出版社, 2001.

[2] 潘鬆,黃繼業技術實用教程(第二版) [M].北京:科學出版社,2005.

[3] 潘鬆,王國棟.基於EDA技術的CPLD /FPGA應用前景[J].電子與自動化,1999.

[4] 唐穎技術與微控制器系統[J].現代電子技術, 2001.

[5] 王寶國,宗鳴,王鳳翔.複雜可程式邏輯器件(CPLD)在DSP交流電機控制系統中的應用[J].電機與控制學報, 2001.

[6] 侯伯亨 硬體描述語言與數字邏輯設計.西安:西安電子科技大學出版社,2001.

[7] 付家才 原理與應用[M].北京:化學工業出版社,2001.

[8] 徐志軍,徐光輝的開發和應用[M].北京:電子工業出版社,2002.

[9] 曾繁泰,陳美金程式設計[M].北京:清華大學出版社,2001.

[10] 黃正瑾.在系統程式設計技術及其應用[M].南京:東南大學出版社,1998.